TSP: Thermal Safe Power - Efficient power budgeting for Many-Core Systems in Dark Siicon

  • Author:

    Santiago Pagani, Heba Khdr, Waqaas Munawar, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel

  • Source:

    IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), New Delhi, India

  • Date: Oct. 12 - Oct. 17, 2014