Conference Papers
Kuan-Hsun Chen, Niklas Ueter, Georg Brüggen, Jian-Jia Chen.
Efficient Computation of Deadline-Miss Probability and Potential Pitfalls.
In IEEE Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, 3 2019
Sami Salamin, Hussam Amrouch, Jörg Henkel.
Selecting the Optimal Energy Point in Near-Threshold Computing.
In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2019, 0 2019
Kuan-Hsun Chen, Georg Brüggen, Jian-Jia Chen.
Analysis of Deadline Miss Rates for Uniprocessor Fixed-Priority Scheduling.
In 24th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2018), 8 2018(Received the Best Student Paper Award)
D. Mueller-Gritschneder, M. Dittrich, J. Weinzierl, E. Cheng, S. Mitra, U. Schlichtmann.
ETISS-ML: A multi-level instruction set simulator with RTL-level fault injection support for the evaluation of cross-layer resiliency techniques.
In 2018 Design, Automation Test in Europe Conference Exhibition (DATE), 3 2018 [DOI]
Victor Santen, Javier Diaz-Fortuny, Hussam Amrouch, Javier Martin-Martinez, Rosana Rodriguez, Rafael Castro-Lopez, Elisenda Roca, Francisco Fernandez, Jörg Henkel, Montserrat Nafria.
Weighted time lag plot defect parameter extraction and GPU-based BTI modeling for BTI variability.
In 2018 IEEE International Reliability Physics Symposium (IRPS), 3 2018 [DOI]
Heba Khdr, Hussam Amrouch, Jörg Henkel.
Aging-constrained performance optimization for multi cores.
In 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), 0 2018
Hussam Amrouch, Behnam Khaleghi, Jörg Henkel.
Voltage Adaptation under Temperature Variation.
In 2018 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), 0 2018
Hussam Amrouch, Victor Santen, Jörg Henkel.
Estimating and optimizing BTI aging effects: from physics to CAD.
In Proceedings of the International Conference on Computer-Aided Design, 0 2018
Behzad Boroujerdian, Hussam Amrouch, Jörg Henkel, Andreas Gerstlauer.
Trading Off Temperature Guardbands via Adaptive Approximations.
In 2018 IEEE International Conference on Computer Design, ICCD 2018, Florida, USA, October 7-10, 2018, 0 2018
Faramarz Khosravi, Hananeh Aliee, Jürgen Teich.
System-Level Reliability Analysis Considering Imperfect Fault Coverage.
In Embedded Systems for Real-Time Multimedia (ESTIMedia), 10 2017 [DOI]
Deepak Mathew, Éder Zulian, Matthias Jung, Kira Kraft, Christian Weis, Bruce Jacob, Norbert Wehn.
Using Run-Time Reverse-Engineering to Optimize DRAM Refresh.
In Proceedings of the International Symposium on Memory Systems (MEMSYS17), 10 2017 [DOI]
Kuan-Hsun Chen, Jian-Jia Chen.
Probabilistic schedulability tests for uniprocessor fixed-priority scheduling under soft errors.
In 12th IEEE International Symposium on Industrial Embedded Systems, (SIES 2017), 6 2017
Eberle Rambo, Christoph Seitz, Selma Saidi, Rolf Ernst.
Designing Networks-on-Chip for High Assurance Real-Time Systems.
In 22nd IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2017), 1 2017
Deepak Mathew, Éder Zulian, Subash Kannoth, Matthias Jung, Christian Weis, Norbert Wehn.
A Bank-Wise DRAM Power Model for System Simulations.
In International Conference on High-Performance and Embedded Architectures and Compilers 2016 (HiPEAC), Workshop on: Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO), Stockholm., 0 2017
Hussam Amrouch, Subrat Mishra, Victor Santen, Souvik Mahapatra, Jörg Henkel.
Impact of bti on dynamic and static power: From the physical to circuit level.
In Reliability Physics Symposium (IRPS), 2017 IEEE International, 0 2017
Hussam Amrouch, Behnam Khaleghi, Andreas Gerstlauer, Jörg Henkel.
Towards aging-induced approximations.
In Design Automation Conference (DAC), 2017 54th ACM/EDAC/IEEE, 0 2017
Hussam Amrouch, Prashanth Krishnamurthy, Naman Patel, Jörg Henkel, Ramesh Karri, Farshad Khorrami.
Emerging (un-) reliability based security threats and mitigations for embedded systems: special session.
In Proceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems Companion, 0 2017
Hussam Amrouch, Jörg Henkel.
Containing guardbands.
In Design Automation Conference (ASP-DAC), 2017 22nd Asia and South Pacific, 0 2017
Hussam Amrouch, Behnam Khaleghi, Jörg Henkel.
Optimizing temperature guardbands.
In 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE), 0 2017
Georg Brüggen, Kuan-Hsun Chen, Wen-Hung Huang, Jian-Jia Chen.
Systems with Dynamic Real-Time Guarantees in Uncertain and Faulty Execution Environments.
In 2016 IEEE Real-Time Systems Symposium (RTSS), 11 2016 [DOI]
Kuan-Hsun Chen, Georg Von, Jian-Jia Chen.
Overrun Handling for Mixed-Criticality Support in RTEMS.
In WMC 2016, 11 2016
Matthias Jung, Irene Heinrich, Marco Natale, Deepak Mathew, Christian Weis, Sven Krumke, Norbert Wehn.
ConGen: An Application Specific DRAM Memory Controller Generator.
In International Symposium on Memory Systems (MEMSYS 2016), 10 2016
Matthias Jung, Carl Rheinländer, Christian Weis, Norbert Wehn.
Reverse Engineering of DRAMs: Row Hammer with Crosshair.
In International Symposium on Memory Systems (MEMSYS 2016), 10 2016
Hananeh Aliee, Michael Glaß, Jürgen Teich, Emanuele Borgonovo.
Guiding Genetic Algorithms Using Importance Measures for Reliable Design of Embedded Systems.
In 29th IEEE Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 9 2016 [DOI]
Kuan-Hsun Chen, Björn Bönninghoff, Jian-Jia Chen, Peter Marwedel.
Compensate or Ignore? Meeting Control Robustness Requirements through Adaptive Soft-Error Handling.
In ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems (LCTES), 6 2016
Matthias Jung, Deepak Mathew, Christian Weis, Norbert Wehn.
Approximate Computing with Partially Unreliable Dynamic Random Access Memory: Approximate DRAM.
In IEEE/ACM Design Automation Conference (DAC), 6 2016
Hongyan Zhang, Lars Bauer, Jörg Henkel.
Resource Budgeting for Reliability in Reconfigurable Architectures.
In IEEE/ACM Design Automation Conference (DAC), 6 2016
Eberle Rambo, Selma Saidi, Rolf Ernst.
Providing Formal Latency Guarantees for ARQ-based Protocols in Networks-on-Chip.
In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016, 3 2016
Thiago Santini, Christoph Borchert, Christian Dietrich, Horst Schirmeier, Martin Hoffmann, Olaf Spinczyk, Daniel Lohmann, Fl\'avio Rech, Paolo Rech.
Evaluating the Radiation Reliability of Dependability-Oriented Real-Time Operating Systems.
In Proceedings of the 12th Workshop on Silicon Errors in Logic -- System Effects (SELSE '16), 3 2016
Ulf Schlichtmann, M. Hashimoto, I. Jiang, B. Li.
Reliability, adaptability and flexibility in timing: Buy a life insurance for your circuits.
In 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 1 2016 [DOI]
Matthias Jung, Deepak Mathew, Christian Weis, Norbert Wehn.
Efficient Reliability Management in SoCs - An Approximate DRAM Perspective.
In 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 0 2016
Victor Santen, Hussam Amrouch, Narendra Parihar, Souvik Mahapatra, Jörg Henkel.
Aging-aware voltage scaling.
In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016, 0 2016
Victor Santen, Hussam Amrouch, Javier Martin-Martinez, Montserrat Nafria, Jörg Henkel.
Designing guardbands for instantaneous aging effects.
In Proceedings of the 53rd Annual Design Automation Conference, 0 2016
Behnam Khaleghi, Behzad Omidi, Hussam Amrouch, Jörg Henkel, Hossein Asadi.
Stress-aware routing to mitigate aging effects in SRAM-based FPGAs.
In 2016 26th International Conference on Field Programmable Logic and Applications (FPL), 0 2016
Jorg Henkel, Hussam Amrouch.
Designing reliable, yet energy-efficient guardbands.
In 2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS), 0 2016
Hussam Amrouch, Behnam Khaleghi, Andreas Gerstlauer, Jörg Henkel.
Reliability-aware design to suppress aging.
In Design Automation Conference (DAC), 2016 53nd ACM/EDAC/IEEE, 0 2016
Hongyan Zhang, Michael Kochte, Eric Schneider, Lars Bauer, Hans-Joachim Wunderlich, Jörg Henkel.
STRAP: Stress-Aware Placement for Aging Mitigation in Runtime Reconfigurable Architectures.
In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 11 2015
Matthias Jung, Éder Zulian, Deepak Mathew, Matthias Herrmann, Christian Brugger, Christian Weis, Norbert Wehn.
Omitting Refresh - A Case Study for Commodity and Wide I/O DRAMs.
In 1st International Symposium on Memory Systems (MEMSYS 2015), 10 2015
Christian Weis, Matthias Jung, Norbert Wehn.
Reliability and Thermal Challenges in 3D Integrated Embedded Systems.
In 1st International ESWEEK Workshop on Resiliency in Embedded Electronic Systems, Amsterdam, The Netherlands., 10 2015
Horst Schirmeier, Martin Hoffmann, Christian Dietrich, Michael Lenz, Daniel Lohmann, Olaf Spinczyk.
FAIL*: An Open and Versatile Fault-Injection Framework for the Assessment of Software-Implemented Hardware Fault Tolerance.
In Proceedings of the 11th European Dependable Computing Conference (EDCC '15), 9 2015 [DOI]
Tobias Stumpf.
How to Protect the Protector?.
In Proceedings of The 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2015) - Student Forum, 6 2015
Christian Dietrich, Martin Hoffmann, Daniel Lohmann.
Cross-Kernel Control-Flow-Graph Analysis for Event-Driven Real-Time Systems.
In Proceedings of the 2015 ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems (LCTES '15), 6 2015 [DOI]
Horst Schirmeier, Christoph Borchert, Olaf Spinczyk.
Avoiding Pitfalls in Fault-Injection Based Comparison of Program Susceptibility to Soft Errors.
In Proceedings of the 45th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN '15), 6 2015 [DOI]
Martin Hoffmann, Florian Lukas, Christian Dietrich, Daniel Lohmann.
dOSEK: The Design and Implementation of a Dependability-Oriented Static Embedded Kernel.
In Proceedings of the 21st IEEE Real-Time and Embedded Technology and Applications (RTAS '15), 4 2015 [DOI](Best Paper)
Eberle Rambo, Rolf Ernst.
Worst-Case Communication Time Analysis of Networks-on-Chip with Shared Virtual Channels.
In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015, 3 2015
Hananeh Aliee, Emanuele Borgonovo, Michael Glaß, Jürgen Teich.
Importance Measures in Time-dependent Reliability Analysis and System Design.
In Proceedings of the Annual European Safety and Reliability Conference (ESREL), 0 2015
Faramarz Khosravi, Malte Müller, Michael Glaß, Jürgen Teich.
Uncertainty-Aware Reliability Analysis and Optimization.
In Proceedings of Design, Automation and Test in Europe (DATE), 0 2015 [DOI]
Christian Weis, Matthias Jung, Peter Ehses, Cristiano Santos, Pascal Vivet, Sven Goossens, Martijn Koedam, Norbert Wehn.
Retention Time Measurements and Modelling of Bit Error Rates of WIDE I/O DRAM in MPSoCs.
In Proceedings of the conference on Design, Automation & Test in Europe, 0 2015
Christian Weis, Matthias Jung, Christiano Santos, Pascal Vivet, Omar Naji, Andreas Hansson, Norbert Wehn.
Thermal Aspects and High-level Explorations of 3D stacked DRAMs.
In IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 0 2015
Stefan Wallentowitz, Volker Wenzel, Stefan Rösch, Thomas Wild, Andreas Herkersdorf, Jörg Henkel, S. Wallentowitz, S. Rosch, T. Wild, A. Herkersdorf, V. Wenzel, J. Henkel.
Dependable Task and Communication Migration in Tiled Manycore System-on-Chip.
In 2014 Forum on Specification and Design Languages (FDL), 10 2014 [DOI]
Horst Schirmeier, Christoph Borchert, Olaf Spinczyk.
Rapid Fault-Space Exploration by Evolutionary Pruning.
In Proceedings of the 33rd International Conference on Computer Safety, Reliability and Security (SAFECOMP '14), 9 2014 [DOI]
Veit Kleeberger, Petra Maier, Ulf Schlichtmann.
Workload- and Instruction-Aware Timing Analysis - The missing Link between Technology and System-level Resilience.
In ACM/IEEE Design Automation Conference (DAC), 6 2014 [DOI]
Faramarz Khosravi, Felix Reimann, Michael Glaß, Jürgen Teich.
Multi-Objective Local-Search Optimization using Reliability Importance Measuring.
In IEEE/ACM Design Automation Conference (DAC), 6 2014
Hongyan Zhang, Michael Kochte, Michael Imhof, Lars Bauer, Hans-Joachim Wunderlich, Jörg Henkel.
GUARD: GUAranteed Reliability in Dynamically Reconfigurable Systems.
In IEEE/ACM Design Automation Conference (DAC), 6 2014
Martin Hoffmann, Christoph Borchert, Christian Dietrich, Horst Schirmeier, Rüdiger Kapitza, Olaf Spinczyk, Daniel Lohmann.
Effectiveness of Fault Detection Mechanisms in Static and Dynamic Operating System Designs.
In Proceedings of the 17th IEEE International Symposium on Object/Component/Service-oriented Real-time Distributed Computing (ISORC '14), 6 2014
Martin Hoffmann, Christoph Borchert, Christian Dietrich, Horst Schirmeier, Rüdiger Kapitza, Olaf Spinczyk, Daniel Lohmann.
Effectiveness of Fault Detection Mechanisms in Static and Dynamic Operating System Designs.
In Proceedings of the 17th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC '14), 6 2014 [DOI]
Jörg Henkel, Lars Bauer, Hongyan Zhang, Semeen Rehman, Muhammad Shafique.
Multi-Layer Dependability: From Microarchitecture to Application Level.
In IEEE/ACM Design Automation Conference (DAC), 6 2014(Invited Paper for the Special Session ``Embedded Resiliency: Approaches for the Next Decade'')
Horst Schirmeier, Lars Rademacher, Olaf Spinczyk.
Smart-Hopping: Highly Efficient ISA-Level Fault Injection on Real Hardware.
In Proceedings of the 19th IEEE European Test Symposium (ETS '14), 5 2014
Veit Kleeberger, Magdalena Dorfner, Ulf Schlichtmann.
Evaluation of Sequential Circuit Resilience in Early Design Stages.
In edaWorkshop, 5 2014
Ulf Schlichtmann, Veit Kleeberger, Jacob Abraham, Adrian Evans, Christina Gimmler-Dumont, Michael Glaß, Andreas Herkersdorf, Sani Nassif, Norbert Wehn.
Connecting Different Worlds – Technology Abstraction for Reliability-Aware Design and Test.
In Design, Automation and Test in Europe (DATE), 3 2014
K. Chandrasekar, S.L.M. Goossens, C. Weis, M. Koedam, B. Akesson, N. Wehn, K.G.W. Goossens.
Exploiting Expendable Process-Margins in DRAMs for Run-Time Performance Optimization.
In Proc. Design, Automation & Test in Europe, 3 2014
Eberle Rambo, Alexander Tschiene, Jonas Diemer, Leonie Ahrendts, Rolf Ernst.
Failure Analysis of a Network-on-Chip for Real-Time Mixed-Critical Systems.
In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014, 3 2014
F. Oboril, M. Tahoori.
ArISE: Aging-Aware Instruction Set Encoding for Lifetime Improvement.
In Proceedings of the 2014 Asia and South Pacific Design Automation Conference, 1 2014
Martin Hoffmann, Peter Ulbrich, Christian Dietrich, Horst Schirmeier, Daniel Lohmann, Wolfgang Schröder-Preikschat.
A Practitioner's Guide to Software-based Soft-Error Mitigation Using AN-Codes.
In Proceedings of the 15th IEEE International Symposium on High-Assurance Systems Engineering (HASE '14), 1 2014
Hananeh Aliee, Michael Glass, Rolf Wanka, Jürgen Teich.
Automatic Graph-based Success Tree Construction and Analysis.
In Proceedings of the Annual Reliability and Maintainability Symposium (RAMS), 0 2014 [DOI](Received the RAMS 2014 P.K. McElroy / R.A. Evans Best Paper Award)
Hananeh Aliee, Michael Glaß, Faramarz Khosravi, Jürgen Teich.
An Efficient Technique for Computing Importance Measures in Automatic Design of Dependable Embedded Systems.
In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS 2014), 0 2014 [DOI]
Björn Döbel, Hermann Härtig.
Can We Put Concurrency Back into Redundant Multithreading?.
In Proceedings of the 14th International Conference on Embedded Software, 0 2014
M. Ebrahimi, A. Evans, M.B. Tahoori, R. Seyyedi, E. Costenaro, D. Alexandresc.
Comprehensive Analysis of Alpha and Neutron Particle-induced Soft Errors in an Embedded Processor at Nanoscales.
In Design, Automation and Test in Europe (DATE), 0 2014
Eberle Rambo, Alexander Tschiene, Jonas Diemer, Leonie Ahrendts, Rolf Ernst.
FMEA-Based Analysis of a Network-on-Chip for Mixed-Critical Systems.
In Networks on Chip (NoCS), 2014 Eighth IEEE/ACM International Symposium on, 0 2014
D. Peterson, T. Schweizer, O. Bringmann, W. Rosenstiel.
StML: Bridging the Gap between FPGA Design and HDL Circuit Description.
In Proceeding of the International Conference on Field Programmable Technology (ICFPT), 12 2013
T. Schweizer, L. Ferreira, M. Ritt, W. Rosenstiel.
Timing Error Handling on CGRAs.
In International Conference on ReConFigurable Computing and FPGAs (ReConFig), 12 2013
J. Kühn, T. Schweizer, D. Peterson, W. T..
Testing Reliability Techniques for SoCs with Fault Tolerant CGRA by using live FPGA Fault Injection.
In Proceedings of the International Conference on Field Programmable Technology (ICFPT), 12 2013
M. Ebrahimi, F. Oboril, M. Tahoori.
Aging-aware Logic Synthesis.
In Proceedings of the 2013 IEEE/ACM International Conference on Computer-Aided Design, 11 2013
Veit Kleeberger, Daniel Mueller-Gritschneder, Ulf Schlichtmann.
Technology-Aware System Failure Analysis in the Presence of Soft Errors by Mixture Importance Sampling.
In IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 10 2013 [DOI]
Veit Kleeberger, Helmut Graeb, Ulf Schlichtmann.
Modellierung und Evaluierung von Standardzellen in FinFET-Technologie.
In GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf (ZuE), 9 2013
Hongyan Zhang, Lars Bauer, Michael Kochte, Eric Schneider, Claus Braun, Michael Imhof, Hans-Joachim Wunderlich, Jörg Henkel.
Module Diversification: Fault Tolerance and Aging Mitigation for Runtime Reconfigurable Architectures.
In IEEE International Test Conference (ITC), 9 2013(paper 14.1)
Andreas Heinig, Ingo Korb, Florian Schmoll, Peter Marwedel, Michael Engel.
Fast and Low-Cost Instruction-Aware Fault Injection.
In Proc. of SOBRES 2013, 9 2013
C. Gimmler-Dumont, N. Wehn.
An energy-efficient weakly programmable MIMO detector architecture.
In Proc. Kleinheubacher Tagung, 7 2013 [DOI]
Philip Axer, Moritz Neukirchner, Sophie Quinton, Rolf Ernst, Björn Döbel, Hermann Härtig.
Response-Time Analysis of Parallel Fork-Join Workloads with Real-Time Constraints.
In Proc. of Euromicro Conference on Real-Time Systems (ECRTS), 7 2013
Georg Georgakos, Ulf Schlichtmann, Reinhard Schneider, Samarjit Chakraborty.
Reliability Challenges for Electric Vehicles: From Devices to Architecture and Systems Software.
In ACM/IEEE Design Automation Conference (DAC), 6 2013 [DOI]
Veit Kleeberger, Helmut Graeb, Ulf Schlichtmann.
Predicting Future Product Performance: Modeling and Evaluation of Standard Cells in FinFET Technologies.
In ACM/IEEE Design Automation Conference (DAC), 6 2013 [DOI]
Christoph Borchert, Horst Schirmeier, Olaf Spinczyk.
Generative Software-based Memory Error Detection and Correction for Operating System Data Structures.
In Proceedings of the 43nd IEEE/IFIP International Conference on Dependable Systems and Networks (DSN '13), 6 2013 [DOI]
Philip Axer, Rolf Ernst.
Stochastic Response-Time Guarantee for Non-Preemptive, Fixed-Priority Scheduling Under Errors.
In Proc. of Design Automation Conference (DAC), 6 2013
Isabella Stilkerich, Michael Strotz, Christoph Erhardt, Martin Hoffmann, Daniel Lohmann, Fabian Scheler, Wolfgang Schröder-Preikschat.
A JVM for Soft-Error-Prone Embedded Systems.
In Proceedings of the 2013 ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems (LCTES '13), 6 2013 [DOI]
T. Schweizer, D. Peterson, J. Kühn, T. Kuhn, W. Rosenstiel.
A Fast and Accurate FPGA-Based Fault Injection System.
In 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), Seattle, USA, 4 2013
Hananeh Aliee, Michael Glaß, Felix Reimann, Jürgen Teich.
Automatic Success Tree-Based Reliability Analysis for the Consideration of Transient and Permanent Faults.
In Proceedings of Design, Automation, and Test in Europe (DATE), 0 2013
Karthik Chandrasekar, Christian Weis, Benny Akesson, Norbert Wehn, Kees Goossens.
Towards Variation-aware System-level Power Estimation of DRAMs: An Empirical Approach.
In Proceedings of the 50th Annual Design Automation Conference, 0 2013 [DOI]
Mojtaba Ebrahimi, Hossein Asadi, Mehdi Tahoori.
A layout-based approach for multiple event transient analysis.
In Design Automation Conference (DAC), 0 2013
Saman Kiamehr, Mojtaba Ebrahimi, Farshad Firouzi, Mehdi Tahoori.
Chip-level modeling and analysis of electrical masking of soft errors.
In IEEE VLSI Test Symposium (VTS), 0 2013
Abdulazim Amouri, Hussam Amrouch, Thomas Ebi, Jörg Henkel, Mehdi Tahoori.
Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits.
In 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines(FCCM), 0 2013
Hussam Amrouch, Thomas Ebi, Jörg Henkel.
Stress balancing to mitigate NBTI effects in register files.
In Proceedings of the 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), Budapest, Hungary, June 24-27, 0 2013
Hussam Amrouch, Thomas Ebi, Josef Schneider, Sridevan Parameswaran, Jörg Henkel.
Analyzing the thermal hotspots in FPGA-based embedded systems.
In Proceedings of the 23rd International Conference on Field programmable Logic and Applications, FPL, Porto, Portugal, September 2-4, 0 2013
Marcus Hähnel, Björn Döbel, Marcus Völp, Hermann Härtig.
eBond: energy saving in heterogeneous R.A.I.N.
In Fourth International Conference on Future Energy Systems, 0 2013
Jörg Henkel, Thomas Ebi, Hussam Amrouch, Heba Khdr.
Thermal management for dependable on-chip systems.
In Proceedings of the 18th Asia and South Pacific Design Automation Conference, ASP-DAC, Yokohama, Japan, January 22-25, 0 2013
M. Ebrahimi, L. Chen, H. Asadi, M.B. Tahoori.
CLASS: Combined Logic and Architectural Soft Error Sensitivity Analysis.
In Asia and South Pacific Design Automation Conf. (ASPDAC), 0 2013
A. Evans, D. Alexandrescu, E. Costenaro, L. Chen.
Hierarchical RTL-based combinatorial SER estimation.
In IEEE Intl. On-Line Testing Symp., 0 2013
Atefe Dalirsani, Michael Kochte, Hans-Joachim Wunderlich.
SAT-based Code Synthesis for Fault-Secure Circuits.
In Proc. 16th IEEE Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), 0 2013 [DOI]
Jörg Henkel, Lars Bauer, Nikil Dutt, Puneet Gupta, Sani Nassif, Muhammad Shafique, Mehdi Tahoori, Norbert Wehn.
Reliable On-chip Systems in the Nano-era: Lessons Learnt and Future Trends.
In Proceedings of the 50th Annual Design Automation Conference, 0 2013 [DOI]
C. Gimmler-Dumont, P. Schläfer, N. Wehn.
FPGA-based Rapid Prototyping Platform for MIMO-BICM Design Space Exploration.
In Proc. IEEE International Conference on ReConFigurable Computing and FPGAs, ReConFig 2012, 12 2012
Julius Rosen, Lars Hedrich.
A Highly Dependable, Analog Artificial Hormone System as Middleware for a Multi-Core System-on-Chip.
In IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2012), 10 2012(PhD Forum)
Christina Gimmler-Dumont, Christian Brehm, Norbert Wehn.
Reliability Study on System Memories of an Iterative MIMO-BICM System.
In IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2012), 10 2012
Julius Rosen, Benjamin Betting, Uwe Brinkschulte, Lars Hedrich.
Ein hochverlässliches, selbst-adaptives, Mixed-Signal Mehrkern-System-on-Chip.
In 6. GMM/GI/ITG-Fachtagung für Zuverlässigkeit und Entwurf(ZuE 2012), 9 2012
Michael Engel, Björn Döbel.
The Reliable Computing Base – A Paradigm for Software-based Reliability.
In Proceedings of SOBRES, 9 2012
Unmesh Bordoloi, Bogdan Tanasa, Mehdi Tahoori, Petru Eles, Zebo Peng, Syed Shazli, Samarjit Chakraborty.
Reliability-Aware Instruction Set Customization for ASIPs with Hardened Logic.
In IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), 8 2012 [DOI]
Alejandro Masrur, Philipp Kindt, Martin Becker, Samarjit Chakraborty, Veit Kleeberger, Martin Barke, Ulf Schlichtmann.
Schedulability Analysis for Processors with Aging-Aware Autonomic Frequency Scaling.
In IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), 8 2012 [DOI]
Lars Bauer, Claus Braun, Michael Imhof, Michael Kochte, Hongyan Zhang, Hans-Joachim Wunderlich, Jörg Henkel.
OTERA: Online Test Strategies for Reliable Reconfigurable Architectures.
In NASA/ESA Conference on Adaptive Hardware and Systems (AHS), 6 2012
Mohamed AbdelFattah, Lars Bauer, Claus Braun, Michael Imhof, Michael Kochte, Hongyan Zhang, Hans-Joachim Wunderlich, Jörg Henkel.
Transparent Structural Online Test for Reconfigurable Systems.
In IEEE International On-Line Testing Symposium (IOLTS), 6 2012
M. L..
An Efficient Probability Framework for Error Propagation and Correlation Estimation.
In 18th IEEE International On-Line Testing Symposium (IOLTS'12), 6 2012
Christoph Borchert, Daniel Lohmann, Olaf Spinczyk.
CiAO/IP: A Highly Configurable Aspect-Oriented IP Stack.
In Proceedings of the 10th International Conference on Mobile Systems, Applications, and Services (MobiSys '12), 6 2012 [DOI]
Peter Ulbrich, Martin Hoffmann, Rüdiger Kapitza, Daniel Lohmann, Wolfgang Schröder-Preikschat, Reiner Schmid.
Eliminating Single Points of Failure in Software-Based Redundancy.
In Proceedings of the 9th European Dependable Computing Conference (EDCC '12), 5 2012
Sani Nassif, Veit Kleeberger, Ulf Schlichtmann.
Goldilocks Failures: not too soft, not too hard.
In IEEE International Reliability Physics Symposium (IRPS), 4 2012 [DOI]
Christoph Leineweber, Mathias Pacher, Benjamin Betting, Julius Rosen, Uwe Brinkschulte, Lars Hedrich.
Detection and Defense Strategies Against Attacks on an Artificial Hormone System Running on a Mixed Signal Chip.
In 15th IEEE International Symposium on Object/Compnent/Service-Oriented Real-Time Distributed Computing (ISORC 2012), 4 2012
Benjamin Betting, Mathias Pacher, Uwe Brinkschulte.
Development and Evaluation of a Self-Adaptive Organic Middleware for Highly Dependable System-on-Chips.
In 8th International Conference on Autonomic and Autonomous Systems (ICAS 2012), 3 2012
Andreas Heinig, Vincent Mooney, Florian Schmoll, Peter Marwedel, Krishna Palem, Michael Engel.
Classification-based Improvement of Application Robustness and Quality of Service in Probabilistic Computer Systems.
In Proceedings of ARCS 2012 - International Conference on Architecture of Computing Systems, 3 2012
M. Shafique, B. Zatt, S. Rehman, F. Kriebel, J. Henkel.
Power-Efficient Error-Resiliency for H.264/AVC Context-Adaptive Variable Length Coding.
In IEEE/ACM 15th Design Automation and Test in Europe Conference (DATE'12), 3 2012
Christian Brehm, Matthias May, Christina Gimmler, Norbert Wehn.
A Case Study on Error Resilient Architectures for Wireless Communication.
In Proceedings of Architecture of Computing Systems, 2 2012
S. Rehman, M. Shafique, F. Kriebel, J. Henkel.
RAISE: Reliability-Aware Instruction SchEduling for Unreliable Hardware.
In IEEE Asia and South Pacific Design Automation Conference (ASP-DAC'12), 2 2012
Michael Glaß, Heng Yu, Felix Reimann, Jürgen Teich.
Cross-Level Compositional Reliability Analysis for Embedded Systems.
In Proceedings of the International Conference on Computer Safety, Reliability and Security (SAFECOMP), 0 2012
Thomas Ebi, Hussam Amrouch, Jörg Henkel.
COOL: control-based optimization of load-balancing for thermal behavior.
In Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS, 0 2012
Björn Döbel, Hermann Härtig, Michael Engel.
Operating System Support for Redundant Multithreading.
In 12th International Conference on Embedded Software, 0 2012
Marcus Hähnel, Björn Döbel, Marcus Voelp, Hermann Härtig.
Measuring Energy Consumption for Short Code Paths Using RAPL.
In Greenmetrics'12, 0 2012
Daniel Lohmann, Olaf Spinczyk, Wanja Hofer, Wolfgang Schröder-Preikschat.
The Aspect-Aware Design and Implementation of the CiAO Operating-System Family.
In Transactions on AOSD IX, 0 2012
Philip Axer, Maurice Sebastian, Rolf Ernst.
Probabilistic Response Time Bound for CAN Messages with Arbitrary Deadlines.
In Proc. of Design, Automation and Test in Europe, 0 2012
Veit Kleeberger, Sebastian Kiesel, Ulf Schlichtmann, Samarjit Chakraborty.
Program-Aware Circuit Level Timing Analysis.
In IEEE International Symposium on Integrated Circuits (ISIC), 12 2011 [DOI]
Markus Happe, Andreas Agne, Christian Plessl.
Measuring and Predicting Temperature Distributions on FPGAs at Run-Time.
In Proceedings of the International Conference on Reconfigurable Computing and FPGAs, 12 2011
Horst Schirmeier, Jens Neuhalfen, Ingo Korb, Olaf Spinczyk, Michael Engel.
RAMpage: Graceful Degradation Management for Memory Errors in Commodity Linux Servers.
In Proceedings of the 17th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC '11), 12 2011
Markus Partheymüller, Julian Stecklina, Björn Döbel.
Fiasco on the SCC.
In Proceedings of the 4th Intel MARC Symposium, 12 2011
Maurice Sebastian, Philip Axer, Rolf Ernst.
Utilizing Hidden Markov Models for Formal Reliability Analysis of Real-Time Communication Systems with Errors.
In Proceeding of the 17th IEEE Pacific Rim International Symposium on Dependable Computing, 12 2011
Horst Schirmeier, Martin Hoffmann, Rüdiger Kapitza, Daniel Lohmann, Olaf Spinczyk.
Revisiting Fault-Injection Experiment-Platform Architectures.
In Proceedings of the 17th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC '11), 12 2011(Fast abstract)
T. Schweizer, P. Schlicker, S. Eisenhardt, T. Kuhn, W. Rosenstiel.
Low-Cost TMR for Fault-Tolerance on Coarse-Grained Reconfigurable Architectures.
In International Conference on ReConFigurable Computing and FPGAs (ReConFig), 11 2011
Norbert Wehn.
Reliability: A Cross-Disciplinary and Cross-Layer Approach.
In Proceedings of IEEE 20th Asian Test Symposium, 11 2011
Manfred Broy, Samarjit Chakraborty, Dip Goswami, S. Ramesh, Manoranjan Satpathy, Stefan Resmerita, Wolfgang Pree.
Cross-layer analysis, testing and verification of automotive control software.
In IEEE International Conference on Embedded Software (EMSOFT), 10 2011 [DOI]
Philip Axer, Maurice Sebastian, Rolf Ernst.
Reliability Analysis for MPSoCs with Mixed-Critical, Hard Real-Time Constraints.
In Proc. Intl. Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 10 2011
S. Rehman, M. Shafique, F. Kriebel, J. Henkel.
Reliable Software for Unreliable Hardware: Embedded Code Generation aiming at Reliability.
In IEEE International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS'11), 10 2011(Received the CODES+ISSS'11 Best Paper Award)
L. Chen, F. Firouzi, S. Kiamehr, M. Tahoori.
Fast and Accurate Soft Error Rate Estimation at RTL level.
In 5. GMM/GI/ITG-Fachtagung ZuE 2011: Zuverlässigkeit und Entwurf, 9 2011
S. Rehman, M. Shafique, F. Kriebel, J. Henkel.
ReVC: Computationally Reliable Video Coding on Unreliable Hardware Platforms: A Case Study on Error-Tolerant H.264/AVC CAVLC Entropy Coding.
In IEEE 18th International Conference on Image Processing (ICIP'11), 9 2011
Veit Kleeberger, Ulf Schlichtmann.
Reliability Analysis of Digital Circuits under Influence of Intrinsic Noise.
In IEEE Asia Symposium on Quality Electronic Design (ASQED), 7 2011 [DOI]
Maurice Sebastian, Philip Axer, Rolf Ernst, Nico Feiertag, Marek Jersak.
Efficient Reliability and Safety Analysis for Mixed-Criticality Embedded Systems.
In SAE 2011 World Congress & Exhibition Technical Papers, 4 2011
M. Fazeli, S.G. Miremadi, H. Asadi, M. Tahoori.
Soft Error Rate Estimation of Digital Circuits in the Presence of Multiple Event Transients (METs).
In Design Automation and Test in Europe (DATE), 3 2011
Daniel Lohmann, Wanja Hofer, Wolfgang Schröder-Preikschat, Olaf Spinczyk.
Aspect-Aware Operating-System Development.
In Proceedings of the 10th International Conference on Aspect-Oriented Software Development (AOSD '11), 3 2011 [DOI]
Michael Engel, Florian Schmoll, Andreas Heinig, Peter Marwedel.
Temporal Properties of Error Handling for Multimedia Applications.
In Proceedings of the 14th ITG Conference on Electronic Media Technology, 2 2011
Josef Angermeier, Daniel Ziener, Michael Glaß, Jürgen Teich.
Stress-Aware Module Placement on Reconfigurable Devices.
In Proceedings of the International Conference on Field Programmable Logic and Applications (FPL), 0 2011
F. Hameed, M.A. Al, J Henkel.
Dynamic Thermal Management in 3D Multi-Core Architecture through Run-time Adaptation.
In IEEE/ACM 14th Design Automation and Test in Europe Conference (DATE'11), Grenoble, France, 0 2011
Josef Angermeier, Daniel Ziener, Michael Glaß, Jürgen Teich.
Runtime Stress-aware Replica Placement on Reconfigurable Devices under Safety Constraints.
In Proceedings of the International Conference on Field-Programmable Technology (FPT), 0 2011
H. Amrouch, J. Henkel.
Self-Immunity Technique to Improve Register File Integrity against Soft Errors.
In 24th International Conference on VLSI Design (VLSID'11), Chennai, India, 0 2011
Jörg Henkel, Lars Bauer, Joachim Becker, Oliver Bringmann, Uwe Brinkschulte, Samarjit Chakraborty, Michael Engel, Rolf Ernst, H Hartig, Lars Hedrich.
Design and architectures for dependable embedded systems.
In Hardware/Software Codesign and System Synthesis (CODES+ ISSS), 2011 Proceedings of the 9th International Conference on, 0 2011
S. Eisenhardt, A. Küster, T. Schweizer, T. Kuhn, W. Rosenstiel.
Spatial and Temporal Data Path Remapping for Fault-Tolerant Coarse-Grained Reconfigurable Architectures.
In IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), 0 2011
M. Shafique, L. Bauer, J. Henkel.
Selective instruction set muting for energy-aware adaptive processors.
In Computer-Aided Design (ICCAD), 2010 IEEE/ACM International Conference on, 11 2010 [DOI]
Journals, Transactions, Magazines
V. Santen, J. Martin-Martinez, H. Amrouch, M. Nafria, J. Henkel.
Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI, and PV.
In IEEE Transactions on Circuits and Systems I: Regular Papers, 1 2018 [DOI]
Faramarz Khosravi, Malte Müller, Michael Glaß, Jürgen Teich.
Simulation-based Uncertainty Correlation Modeling in Reliability Analysis.
In The Institution of Mechanical Engineers, Part O: Journal of Risk and Reliability, 0 2018 [DOI]
Behnam Khaleghi, Behzad Omidi, Hussam Amrouch, Jörg Henkel, Hossein Asadi.
Estimating and Mitigating Aging Effects in Routing Network of FPGAs.
In IEEE Transactions on VLSI Systems (TVLSI), 0 2018
Heba Khdr, Hussam Amrouch, Jörg Henkel.
Aging-aware boosting.
In IEEE Transactions on Computers, 0 2018
Sheldon Tan, Hussam Amrouch, Taeyoung Kim, Zeyu Sun, Chase Cook, Joerg Henkel.
Recent advances in EM and BTI induced reliability modeling, analysis and optimization.
In Integration, 0 2018
Heba Khdr, Hussam Amrouch, Jorg Henkel.
Dynamic guardband selection: Thermal-aware optimization for unreliable multi-core systems.
In IEEE Transactions on Computers, 0 2018
Victor Santen, Hussam Amrouch, Jörg Henkel.
Modeling and Evaluating the Gate Length Dependence of BTI.
In IEEE Transactions on Circuits and Systems II: Express Briefs, 0 2018
Subrat Mishra, Hussam Amrouch, Jerin Joe, Chetan Dabhi, Karansingh Thakor, Yogesh Chauhan, Jörg Henkel, Souvik Mahapatra.
A simulation study of NBTI impact on 14-nm node FinFET technology for logic applications: Device degradation to circuit-level interaction.
In IEEE Transactions on Electron Devices, 0 2018
Kuan-Hsun Chen, Georg Brüggen, Jian-Jia Chen.
Reliability Optimization on Multi-Core Systems with Multi-Tasking and Redundant Multi-Threading.
In IEEE Trans. Computers, 0 2018 [DOI]
A Thirunavukkarasu, Hussam Amrouch, Jerin Joe, Nilesh Goel, Narendra Parihar, Subrat Mishra, Chetan Dabhi, Yogesh Chauhan, Jörg Henkel, Souvik Mahapatra.
Device to Circuit Framework for Activity-Dependent NBTI Aging in Digital Circuits.
In IEEE Transactions on Electron Devices, 0 2018
Matthias Jung, Deepak Mathew, Carl Rheinländer, Christian Weis, Norbert Wehn.
A Platform to Analyze DDR3 DRAM’s Power and Retention Time.
In IEEE Design & Test, 8 2017 [DOI]
Hongyan Zhang, Lars Bauer, Michael Kochte, Eric Schneider, Hans-Joachim Wunderlich, Jörg Henkel.
Aging Resilience and Fault Tolerance in Runtime Reconfigurable Architectures.
In IEEE Transactions on Computers (TC), Special Section on Innovation in Reconfigurable Computing Fabrics from Devices to Architectures, 6 2017 [DOI]
Faramarz Khosravi, Michael Glaß, Jürgen Teich.
Automatic Reliability Analysis in the Presence of Probabilistic Common Cause Failures.
In IEEE Transactions on Reliability, 0 2017 [DOI]
Christian Dietrich, Martin Hoffmann, Daniel Lohmann.
Global Optimization of Fixed-Priority Real-Time Systems by RTOS-Aware Control-Flow Analysis.
In ACM Transactions on Embedded Computing Systems, 0 2017 [DOI]
Emanuele Borgonovo, Hananeh Aliee, Michael Glaß, Jürgen Teich.
A new time-independent reliability importance measure.
In European Journal of Operational Research, 0 2016 [DOI] ()
Matthias Jung, Norbert Weis.
A Cross Layer Approach for Efficient Thermal Management in 3D Stacked SoCs.
In Elsevier Journal of Microelectronics Reliability, 0 2016
Kuan-Hsun Chen, Jian-Jia Chen, Florian Kriebel, Semeen Rehman, Muhammad Shafique, Jörg Henkel.
Task Mapping for Redundant Multithreading in Multi-Cores with Reliability and Performance Heterogeneity.
In IEEE Transactions on Computers, 0 2016 [DOI]
Shushanik Karapetyan, Veit Kleeberger, Ulf Schlichtmann.
FinFET-based product performance: Modeling and evaluation of standard cells in FinFET technologies.
In Microelectronics Reliability, 0 2016 [DOI] (SI: \ICMAT\ 2015ICMAT 2015 Symposium)
Stefan Rösch, Holm Rauchfuss, Stefan Wallentowitz, Thomas Wild, Andreas Herkersdorf.
MPSoC application resilience by hardware-assisted communication virtualization.
In Elsevier Microelectronics Reliability, 0 2016 [DOI] (In press)
Matthias Jung, Christian Weis, Norbert Wehn.
DRAMSys: A flexible DRAM Subsystem Design Space Exploration Framework.
In IPSJ Transactions on System LSI Design Methodology (T-SLDM), 8 2015
Lars Bauer, Jörg Henkel, Andreas Herkersdorf, Michael Kochte, Johannes Kühn, Wolfgang Rosenstiel, Thomas Schweizer, Stefan Wallentowitz, Volker Wenzel, Thomas Wild, Hans-Joachim Wunderlich, Hongyan Zhang.
Adaptive multi-layer techniques for increased system dependability.
In it – Information Technology (IT’15), 6 2015 (Special Issue: Dependable Embedded Systems)
Michael Glaß, Hananeh Aliee, Liang Cheng, Mojtaba Ebrahimi, Faramarz Khosravi, Veit B. Kleeberger, Alexandra Listl, Daniel Müller-Gritschneider, Fabian Oboril, Ulf Schlichtmann, Mehdi B. Tahoori, Jürgen Teich, Norbert Wehn, Christian Weis.
Application-aware cross-layer reliability analysis and optimization.
In it - Information Technology, 0 2015 [DOI]
Hussam Amrouch, Thomas Ebi, Jörg Henkel.
RESI: Register-Embedded Self-Immunity for Reliability Enhancement.
In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 0 2015
Michael Glass, Hananeh Aliee, Liang Che.
Application-aware cross-layer reliability analysis and optimization.
In Special Issue: Dependable Embedded Systems / Jörg Henkel. it - Information Technology, 0 2015 [DOI]
Christoph Borchert, Horst Schirmeier, Olaf Spinczyk.
Generic Soft-Error Detection and Correction for Concurrent Data Structures.
In IEEE Transactions on Dependable and Secure Computing, 0 2015 [DOI]
Martin Hoffmann, Peter Ulbrich, Christian Dietrich, Horst Schirmeier, Daniel Lohmann, Wolfgang Schröder-Preikschat.
Experiences with Software-based Soft-Error Mitigation using AN Codes.
In Software Quality Journal, 11 2014 [DOI]
Andreas Herkersdorf, Hananeh Aliee, Michael Engel, Michael Glaß, Christina Gimmler-Dumont, Jörg Henkel, Veit Kleeberger, Michael Kochte, Johannes Kühn, Daniel Mueller-Gritschneder, Sani Nassif, Holm Rauchfuss, Wolfgang Rosenstiel, Ulf Schlichtmann, Muhammad Shafique, Mehdi Tahoori, Jürgen Teich, Norbert Wehn, Christian Weis, Hans-Joachim Wunderlich.
Resilience Articulation Point (RAP): Cross-layer Dependability Modeling for Nanometer System-on-chip Resilience.
In Elsevier Microelectronics Reliability, 0 2014 [DOI] (In press)
Veit Kleeberger, Martin Barke, Christoph Werner, Doris Schmitt-Landsiedel, Ulf Schlichtmann.
A Compact Model for NBTI Degradation and Recovery under Use-Profile Variations and its Application to Aging Analysis of Digital Integrated Circuits.
In Elsevier Microelectronics Reliability, 0 2014 [DOI] (In press)
Christina Gimmler-Dumont, Norbert Wehn.
A Cross-Layer Reliability Design Methodology for Efficient, Dependable Wireless Receivers.
In ACM Transactions on Embedded Computing Systems, 0 2014
Björn Döbel, Robert Muschner, Hermann Härtig.
Resource-Aware Replication on Heterogeneous Multicores: Challenges and Opportunities.
In CoRR, 0 2014
F. Oboril, M. Tahoori.
Aging-Aware Design of Microprocessor Instruction Pipelines.
In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 0 2014
Florian Schmoll, Andreas Heinig, Peter Marwedel, Michael Engel.
Improving the Fault Resilience of an H.264 Decoder using Static Analysis Methods.
In ACM Transactions on Embedded Computing Systems (TECS), 12 2013
Veit Kleeberger, Christina Gimmler-Dumont, Christian Weis, Andreas Herkersdorf, Daniel Mueller-Gritschneder, Sani Nassif, Ulf Schlichtmann, Norbert Wehn.
A Cross-Layer Technology-Based Study of How Memory Errors Impact System Resilience.
In IEEE Micro, 8 2013 [DOI]
Lars Bauer, Claus Braun, Michael Imhof, Michael Kochte, Eric Schneider, Hongyan Zhang, Jörg Henkel, Hans-Joachim Wunderlich.
Test Strategies for Reliable Runtime Reconfigurable Architectures.
In IEEE Transactions on Computers, 8 2013 [DOI]
Veit Kleeberger, Petra Maier, Ulf Schlichtmann.
Ein stochastisches Modell zur Beschreibung von Signalen in digitalen Schaltungen basierend auf quadratischer Optimierung.
In Advances in Radio Science, 7 2013 [DOI]
Christina Gimmler-Dumont, Norbert Wehn.
An energy-efficient weakly programmable MIMO detector architecture.
In Advances in Radio Science, 7 2013
Christina Gimmler-Dumont, Matthias May, Norbert Wehn.
Cross-Layer Error Resilience and Its Application to Wireless Communication Systems.
In Journal of Low Power Electronics (JOLPE), 4 2013
Liang Chen, Mojtaba Ebrahimi, MehdiB. Tahoori.
CEP: Correlated Error Propagation for Hierarchical Soft Error Analysis.
In Journal of Electronic Testing, 0 2013
Ahmed Eltawil, Michael Engel, Bibiche Geuskens, Amin Djahromi, Fadi Kurdahi, Peter Marwedel, Smail Niar, Mazen Saghir.
A Survey of Cross-Layer Power-Performance-Reliability in Multi and Many Core Systems-on-Chip.
In Embedded Hardware Design: Microprocessors and Microsystems, 0 2013 (Invited paper)
Horst Schirmeier, Ingo Korb, Olaf Spinczyk, Michael Engel.
Efficient Online Memory Error Assessment and Circumvention for Linux with RAMpage.
In International Journal of Critical Computer-Based Systems, 0 2013 [DOI] (Special Issue on PRDC 2011 Dependable Architecture and Analysis)
H. Asadi, M. Tahoori, M. Fazeli, S.G. Miremadi.
Efficient algorithms to accurately compute derating factors of digital circuits.
In Elsevier Microelectronics Reliability, 0 2012
Andreas Herkersdorf, Hans-Ulrich Michel, Holm Rauchfuss, Thomas Wild.
Multicore Enablement for Automotive Cyber Physical Systems.
In it-Information Technology, 0 2012
Philip Axer, Jonas Diemer, Mircea Negrean, Maurice Sebastian, Simon Schliecker, Rolf Ernst.
Mastering MPSoCs for Mixed-Critical Applications.
In IPSJ Transactions on System LSI Design Methodology, 8 2011
Markus Happe, Enno Lübbers, Marco Platzner.
A self-adaptive heterogeneous multi-core architecture for embedded real-time video object tracking.
In Journal of Real-Time Image Processing, 0 2011 (10.1007/s11554-011-0212-y)
Naehyuck Chang, Jörg Henkel.
Guest Editorial: Current Trends in Low-Power Design.
In ACM Trans. Des. Autom. Electron. Syst., 11 2010 [DOI]
G. Frantz, J. Henkel, J. Rabaey, T. Schneider, M. Wolf, U. Batur.
Ultra-Low Power Signal Processing.
In IEEE Signal Processing Magazine, 3 2010
Workshop Contributions
Christoph Borchert, Olaf Spinczyk.
Hardening an L4 Microkernel Against Soft Errors by Aspect-Oriented Programming and Whole-Program Analysis.
10 2015 [DOI]
Eberle Rambo, Rolf Ernst.
Providing Flexible and Reliable on-Chip Network Communication with Real-Time Constraints.
10 2015
Tobias Stumpf, Hermann Härtig, Eberle Rambo, Rolf Ernst.
Cross-layer Resilience Mechanisms to Protect the Communication Path in Embedded Systems.
10 2015
Christian Dietrich, Martin Hoffmann, Daniel Lohmann.
Back to the Roots: Implementing the RTOS as a Specialized State Machine.
7 2015
Andreas Heinig, Florian Schmoll, Björn Bönninghoff, Peter Marwedel, Michael Engel.
FAME: Flexible Real-Time Aware Error Correction by Combining Application Knowledge and Run-Time Information.
4 2015
Stefan Wallentowitz, Michael Tempelmeier, Thomas Wild, Andreas Herkersdorf.
Network-on-Chip Protection Switching Techniques for Dependable Task Migration on an Open Source MPSoC platform.
5 2014
Andreas Heinig, Florian Schmoll, Peter Marwedel, Michael Engel.
Who's Using that Memory? A Subscriber Model for Mapping Errors to Tasks,.
4 2014
Arthur Martens, Christoph Borchert, Tobias Geißler, Daniel Lohmann, Olaf Spinczyk, Rüdiger Kapitza.
Crosscheck: Hardening replicated multithreaded services.
0 2014
Martin Hoffmann, Christian Dietrich, Daniel Lohmann.
dOSEK: A Dependable RTOS for Automotive Applications.
12 2013 (Fast abstract)
Björn Döbel, Hermann Härtig.
Where have all the cycles gone? -- Investigating Runtime Overheads of OS-Assisted Replication.
9 2013
Christoph Borchert, Horst Schirmeier, Olaf Spinczyk.
Return-Address Protection in C/C++ Code by Dependability Aspects.
9 2013
Martin Hoffmann, Christian Dietrich, Daniel Lohmann.
Failure by Design: Influence of the RTOS Interface on Memory Fault Resilience.
9 2013
Martin Barke, Veit Kleeberger, Christoph Werner, Doris Schmitt-Landsiedel, Ulf Schlichtmann.
Analysis of Aging Mitigation Techniques for Digital Circuits Considering Recovery Effects.
5 2013
Norbert Wehn.
Cross layer Error resilience in MIMO Systems.
In International Workshop on Software Approaches to Resilient System Design, DATE 2013 (invited talk),3 2013
E. Costenaro, A. Evans, D. Alexandrescu, L. Chen, M. Tahoori, M. Nicolaidis.
Soft Error Propagation and Correlation Estimation in Combinational Network.
3 2013
Björn Döbel, Horst Schirmeier, Michael Engel.
Investigating the Limitations of PVF for Realistic Program Vulnerability Assessment.
1 2013
Andreas Herkersdorf.
Multi-Perspective Embedded Systems Resilience.
In Workshop on Design for Reliability, 8th International Conference on High-Performance and Embedded Architectures and Compilers (HiPEAC),0 2013
Andreas Herkersdorf, Michael Engel, Michael Glaß, Jörg Henkel, Veit Kleeberger, Michael Kochte, Johannes Kühn, Sani Nassif, Holm Rauchfuss, Wolfgang Rosenstiel, Ulf Schlichtmann, Mehdi Shafique, Mehdi Tahoori, Jürgen Teich, Norbert Wehn, Christian Weis, Hans-Joachim Wunderlich.
Cross-Layer Dependability Modeling and Abstraction in Systems on Chip.
0 2013
Norbert Wehn.
A Methodology Approch for Cross Layer Error Resilience in Wireless Communication Systems.
In International Workshop on HW/SW Techniques for Cross-Layer Resilience, colocated ICCAD 2012,11 2012
Philip Axer, Rolf Ernst, Björn Döbel, Hermann Härtig.
Designing an Analyzable and Resilient Embedded Operating System.
9 2012
Christoph Borchert, Horst Schirmeier, Olaf Spinczyk.
Protecting the Dynamic Dispatch in C++ by Dependability Aspects.
9 2012
J. Kühn, S. Eisenhardt, T. Schweizer, T. Kuhn, W. Rosenstiel.
Improving System Reliability using Dynamic Functional Verification on CGRAs.
6 2012
M. L..
Soft Error Propagation and Correlation Estimation in Combinational Network.
6 2012
T. Schweizer, A. Kuester, S. Eisenhardt, T. Kuhn, W. Rosenstiel.
Using Run-Time Reconfiguration to Implement Fault-Tolerant Coarse Grained Reconfigurable Architectures.
5 2012
Andreas Herkersdorf, Holm Rauchfuss, Thomas Wild.
CPS for the Automotive Domain.
In DATE 2012 Workshop: Cyber Physical Systems (CPS) for Smart Mobility: Design, Architectures and Applications,4 2012
Norbert Wehn.
Error Resilience in Wireless Communication Systems.
In DATE 2012 Friday Workshop W7: Facing Dependability Challenges at Nanoscale: From Devices to Systems,3 2012 (invited)
Horst Schirmeier, Martin Hoffmann, Rüdiger Kapitza, Daniel Lohmann, Olaf Spinczyk.
FAIL*: Towards a Versatile Fault-Injection Experiment Framework.
3 2012
Michael Engel, Peter Marwedel.
Semantic Gaps in Software-Based Reliability.
1 2012
Björn Döbel, Hermann Härtig.
Who watches the watchmen? -- Protecting Operating System Reliability Mechanisms.
0 2012
Marcus Hähnel, Björn Döbel, Marcus Voelp, Hermann Härtig.
The Potential of Energy/Utility-Accrual Scheduling.
0 2012
Holm Rauchfuss, Thomas Wild, Andreas Herkersdorf.
Enhanced reliability in tiled manycore architectures through transparent task relocation.
0 2012
Michael Engel, Florian Schmoll, Andreas Heinig, Peter Marwedel.
Unreliable yet Useful -- Reliability Annotations for Data in Cyber-Physical Systems.
10 2011
M. Tahoori.
Error detection and localization methods.
In ESWEEK 2011, CODES+ISSS Program Session 2B: Design and architecture for dependable embedded systems,10 2011
Isabella Thomm, Michael Stilkerich, Rüdiger Kapitza, Daniel Lohmann, Wolfgang Schröder-Preikschat.
Automated application of fault tolerance mechanisms in a component-based system.
9 2011 [DOI]
M. Tahoori.
Assessment and Mitigation of Runtime Failures: From Devices to Systems.
In Fachgruppe "Test und Zuverlässigkeit von Schaltungen und Systemen" der GI/GMM/IT,7 2011
Horst Schirmeier, Rüdiger Kapitza, Daniel Lohmann, Olaf Spinczyk.
DanceOS: Towards Dependability Aspects in Configurable Embedded Operating Systems.
1 2011
S. Eisenhardt, A. Küster, T. Schweizer, T. Kuhn, W. Rosenstiel.
Runtime Datapath Remapping for Fault-Tolerant Coarse-Grained Reconfigurable Architectures.
0 2011
Alexander Warg, Adam Lackorzynski.
Rounding pointers: type safe capabilities with C++ meta programming.
0 2011 [DOI]
Hannes Weisbach, Björn Döbel, Adam Lackorzynski.
Generic User-Level PCI Drivers.
0 2011
H.-J. Wunderlich, M. Tahoori.
Tutorial Workshop in the frame of the DFG SPP 1500: Defects, Faults, and Errors - Approaches to Cross-Layer Fault-Tolerance.
In 5. GMM/GI/ITG-Fachtagung ZuE 2011: Zuverlässigkeit und Entwurf,0 2011
Markus Happe.
A Hybrid Multi-Core Architecture for Real-Time Video Tracking.
0 2011
Andreas Herkersdorf.
Multicore Platform Enablement for Cyber Physical Systems.
In Dagstuhl Seminar 11441: Science and Engineering of Cyber-Physical Systems,0 2011
Andreas Heinig, Michael Engel, Florian Schmoll, Peter Marwedel.
Using Application Knowledge to Improve Embedded Systems Dependability.
10 2010
Andreas Heinig, Michael Engel, Florian Schmoll, Peter Marwedel.
Improving Transient Memory Fault Resilience of an H.264 Decoder.
10 2010
Books
Thomas Ebi, Holm Rauchfuss, Andreas Herkersdorf, Jörg Henkel.
Agent-based thermal management using real-time I/O communication relocation for 3D many-cores.
In Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 0 2011